光电行业资讯更多

行业资讯 | 光模块行业专题报告:光电之门,踏浪前行

来源:世展网 分类:光电行业资讯 2023-10-09 18:04 阅读:2276
分享:

2024年中国光博会-深圳光博会CIOECIOE

2024-09-11-09-13

距离136

2024年深圳信息通信展CIOE

2024-09-11-09-13

距离136

2024年深圳激光技术及智能制造展CIOE

2024-09-11-09-13

距离136

2024年深圳红外技术及应用展CIOE

2024-09-11-09-13

距离136

2024年深圳光电子创新展CIOE

2024-09-11-09-13

距离136

2024年深圳智能传感展CIOE

2024-09-11-09-13

距离136

文章目录

1、光模块景气度正逢周期拐点,五大因素筛选优质α

2、乘 AI 之风,高端高速率光模块扬帆起航

3、重点公司分析

1、光模块景气度正逢周期拐点,五大因素筛选优质α

1.1、从下游市场现状推断光模块β进入上行周期

光模块的应用场景主要分为两大领域:4G/5G 无线网络、固定宽带 FTTX、传输与数通网络等为代表的电信领域;承载 AR/VR、人工智能、元宇宙等应用的数据中心领域。这两大应用场景的规模增速是影响光模块需求景气度的核心指标。

(1)数据中心迎来周期向上拐点,把握一个特征两个趋势

数据中心作为数据存储和计算的中心,其在各个时期承载的主要功能有所差异。自2000年以来全球数据中心先后从计算中心过渡到信息中心,再过渡至云计算中心,目前正由云中心向算力中心演变。数据中心产业整体发展周期呈现出“四中心三拐点”的特征,在 AI 算力的驱动下产业正迎来第三次上升拐点。云计算中心阶段,光模块速率经历了由 10G/25G 向 40G/100G 的过渡。云数据中心不仅为客户提供管理服务,还提供计算和存储环境。其托管的不再是客户的设备,而是计算和带宽能力。2012-2019 年的云中心阶段,全球数据中心产业市场规模 CAGR 为 17%,相比信息中心阶段增速有所下降。这一阶段,光模块主流速率经历了由 10G/25G 向 40G/100G 的过渡,2012 年北美市场以 10G 为主。2014 年开始步入 40G,2016 年 100G 开始规模化应用。

算力中心阶段,光模块速率开始向 400G/800G 过渡,目前已有不少龙头厂商开 始研发 1.6T 系列。2019 年以来,数据中心产业开始步入算力中心阶段。AI、物联网、大数据等新数字技术的加速发展显著驱动了数据云存储及智能算力需求的增长, 2019-2022 年 CAGR 约为 20%。2021 年光模块厂商下游客户开始对 800G 产品进行验证测试,2022 年实现批量应用。2023 年以来包括中际旭创在内的多家龙头厂商开始加码 1.6T 系列的研发。

我国数据中心产业起步相对北美较晚,体现在光模块应用端层面,性能相对北美同期较为落后。目前我国数据中心产业处于云中心深化阶段,落后美国 3-5 年,仍处于增长阶段,预计“十四五”期间 CAGR 维持 25%左右。2021 年在数字经济、 “东数西算”的推动下,行业增速出现向上拐点。2023 年以来行业发展夹杂多重因 素的影响,一方面“东数西算”对 PUE、上架率等指标约束愈发趋严,另一方面 AI 的崛起引致算力需求大幅扩张,预计“十四五”期间行业整体增速维持高企。自 2020 年起,我国数据中心开始步入算力中心阶段,三大运营商资本开支由 5G 网络向算力网络倾斜。2022 年 ChatGPT 开启 AIGC 这一全新业态,推动 AI 发展进入以多模态和大模型为特色的 AI2.0 时代,需求向“云计算大型、超大型 IDC+智能计算本地化中型数据中心+边缘计算小微型 IDC”三级转变,规模化智算与行业智算并行的需求特征显现。从资本支出的角度来看,三大运营商向互联网和算力网络大幅倾斜。根据中国电信2023年资本支出预算,产业数字化占比将由2022年的29.3% 大幅提升至 38.4%。

2021 年以来数据中心增长的逻辑主要在于算力需求的扩张。从国内来看,高新技术、数字化转型、智能终端等多样化算力需求场景不断涌现,算力为数据中心增长赋能。通用算力的数据中心占市场规模主体,智算及超算中心空间广阔。按照机架规模统计,目前通用算力数据中心占比超过 90%。随着人工智能应用场景的丰富,算力由基础算力向智算演化,算力由 1.0 时代向 2.0 过渡。算力 2.0 由新型数据中心提供大规模数据处理和高性能算力。在此背景下,“十四五”期间智算中心增速有望维持高企。根据 IDC 预测,预计 2026 年我国智能算力规模或达 1271.4EPLOPS, 2021-2026 年 CAGR 达 52.3%,而同期通用算力规模 CAGR 为 18.5%。综合以上因素判断,我们认为当前国内数据中心的建设正处于周期向上的拐点,智能算力将驱动新一轮资本开支增长。

2019 年以来数据中心呈现出“东西向流量占比高”的特征,以及 “架构扁平化”和“布局集群化”两个趋势。传统数据中心是为.com 应用设计的,这些流量大多是客户端和服务器之间的通信。而随着分布式计算、大数据兴起,这些应用会在数据中心的服务器之间产生大量的流量。例如 Facebook 的 Hadoop 集群,将数据分布在数据中心成百上千个服务器中,进行并行计算。

在“东西向”流量占主导的背景下,数据中心网络架构自 2019 年以来向扁平化发展。数据中心传统的三层网络架构包括接入层、汇聚层和核心层。东西流量分为 L2 和 L3,对于 L2 流量,如果源和目的主机都在同一个接入层交换机下,那么可以达到全速,因为接入交换机就能完成转发。但如果需要跨机架,则需要通过汇聚层交换机进行转发,带宽取决于汇聚层交换机的转发速率。对于 L3 流量,必须经过核心交换机完成转发,这不仅浪费了宝贵的核心交换机资源,多层转发也增加了延时。因此当存在大量东西向流量时,三层架构下的传输效力受限于汇聚层和核心层交换机的设备性能。

叶脊网络对光模块的需求相对传统网络大幅提升,同时推动高速率产品在数据中心中的应用。叶脊两层网络的优势在于低延迟、扩展性好、带宽利用率高等,同时也带来了更高的光模块用量。亚马逊、谷歌、微软、Facebook 等北美超大型数据中心内部互连已从 2019-2020 年开始商用部署 400G 光模块,国内节奏相对滞后,于 2022 年实现 400G 的规模部署。

数据中心内部流量的增加带来网络架构变迁,除了促进高速率光模块的发展,也形成了低功耗、低成本、智能化的趋势。低功耗方面,400G 的早期功耗为 10-12W,预计长期功耗将为 8-10W,800G 功耗约为 16W 左右。未来重点关注 CPO 技术的发展,其作为关键性技术迭代,或成为驱动新一轮光模块需求增长的重要引擎。根据 Lightcounting 的预测,全球 CPO 端口的销售量将从 2023 年的 5 万增长到 2027 年的 450 万,四年时间提升达 90 倍。“布局集群化”是数据中心的另一发展趋势,表现为大型及以上数据中心占比的不断提升。“布局集群化”体现为超大型数据中心快速增长,市场主体推动数据中心向核心区域布局,呈现由“中心向周边”“东部向西部”转移的部署趋势。我国超大型数据中心数量从 2018 年的 34 个增长到 2021Q3 的 105 个,三年 CAGR 达 45%。超大型数据中心机架占比从 2018 年的 37%增长到 2021Q3 的 41%,数据中心集群化趋势明显。

综上所述,在 AI、无人驾驶、物联网等智能算力应用场景的推动下,总体推断 2023-2025 年全球数据中心规模增速或保持上升的趋势。在网络流量不断增长、叶脊架构广泛应用的背景下,数通光模块需求增速预计进入上升通道;同时交换机之间的设备交换容量不断提升促进了高速率产品的规模化应用,数通产品平均单价呈现上升趋势,综合导致了光模块产业进入量价齐升的周期。

(2)5G 网络驱动光模块量价齐升,关注 5G 基站建设节奏

5G 网络建设以 2019 年为元年,2020 年进入高速增长期,预计至 2025 年左右 基站数量趋于稳定。2016 年开展 5G 技术试验和商用牌照发放前期研究。2018 年, 发改委公布《2018 年新一代信息基础设施建设工程拟支持项目名单》,大力推动 5G 试验网建设;2018 年 12 月初已完成 5G 频谱分配,包括 3.5GHz 和 2.6GHz。2020 年三大运营商进一步优化和扩大 5G 投资,整体资本开支在 5G 规模建设的拉动下出现较大增长。截至 2020 年底,我国已建成全球最大 5G 网络,累计建成 5G 基站 71.8 万个,推动共建共享 5G 基站 33 万个。根据规划,2020 至 2024 年是 5G 网络规模建设期,2025 年至 2028 年为 5G 网络完善期,在 2029 年左右将开始引入 6G 网络。

5G 网络建设对于智能化发展、提升社会生产效率具有重要意义,并带来数据流量的大幅增长。5G 凭借大带宽、低延时等特征,丰富了人与物、物与物连接的应用 场景,推进了无人驾驶、VR/AR、移动医疗、智慧城市的发展。5G 的三个应用场景主要是:eMBB(增强型移动带宽)、mMTC(海量机器类通信)和 URLLC(超可靠低时延通信),其中 mMTC 和 URLLC 就是面向垂直行业与万物互联。5G 承载网从 4G 的两级结构演化到三级结构,对光模块数量产生大规模需求。5G 提供的业务具有大带宽、低延时、海量连接的特征,从而对承载网提出了高精度时间同步、灵活 组网、低延时等要求。在此背景下,5G 承载网衍生出前传、中传和回传网络三级结构,传统的基站BBU 重构为 CU+、DU 两个逻辑网元,多出中传环节连接的新增光模块需求。2019 年建设的 5G 网络主要依托 4G 网络进行非独立组网,BUU 还未分离成 DU和 CU,因此中传的光模块需求未正式打开。2020 年进入5G独立组网建设, CU 和 DU 的分离打开了中传光模块的市场。除此之外 5G 频谱相对 4G 网络更高,造成基站密度大幅增加,由此提升了对光模块的速率和数量要求,要求的传输距离也越来越远。4G时代前传光模块主要是6G、 10GSFP+,80%的距离在 1.4km,20%在 10km;4G 回传方面,链路型基站采用 GE 光口接入,接入环带宽在 10G,汇聚、核心环带宽在 100G。5G 无线网侧的基站中, AAU 与 DU 之间的前传光模块将从 10G 升级到 25G;中传或以 50G PAM4 为主;在承载网的回传需求中,城域网将从 10G/40G 升级到 100G,骨干网将从 100G 升级到 400G。

从光模块业务收入增速角度来看,其与数据中心规模增速、移动通信网络建设、 以及运营商资本开支具有相关性。数据中心建设速度、4G/5G 基站建设进度都影响着光模块需求的增速。运营商资本开支增速体现了当年对通信网络、算力网络等通信基础设施的投入力度,是反映行业景气度的核心指标。以中际旭创为例,光模块业务收入在 2018 年同比大幅提升,主要源于当年 5G 网络建设开始大力推动,我国 大型数据中心也在加速落地。

1.2、 五大因素筛选优质α:企业的核心竞争力

(1)前瞻性和研发能力

先发优势来源于对光通信发展趋势的前瞻性,先于市场进行产品开发布局。以中际旭创为例,公司 2016 年即开始对数据中心用 200G/400G 光模块进行开发,并在 2018 年率先行业推出首款 400G QSFP-DD FR 产品。待到 2022 年 400G 开始大规模使用时,公司凭借先发优势(率先导入客户测试验证)取得了高比例的市场份额。

(2)供应链保障

芯片作为依赖于进口的光模块核心部件,影响企业生产端的产能利用率。芯片和结构件占成本的比例较高,在高端光器件中,芯片成本的占比甚至达到 50%。光芯片产品从研发到商用需要较长时间的积累,由于光芯片与电芯片的特性差异,保 证产品良率对资本投入和工艺水平的要求很高,国内光器件企业的生产在一定程度 上依赖于光芯片的进口。国际市场上 Finisar、Oclaro 等国外企业具备高端光芯片的研发能力,毛利率保持较高的水平,而国内具备光芯片量产能力的企业较少,主要为光迅科技、源杰科技和长光华芯,高端芯片还依赖于进口。

(3)市场开拓

北美市场在全球数据中心及算力方面占据第一大份额,高性能高速率光模块的应用全球领先。美国凭借在全球数据中心、算力方面的龙头地位,是光模块的主要市场。高速率高性能光模块产品被最先应用于北美云厂商的业务中。因此深化北美市场布局、进入北美云厂商供应链是光模块厂商获取市场主要份额的关键。

(4)制造工艺和量产能力

制造工艺对产品良率、功耗等具有重要影响,进而影响产品毛利率。高速光模块的工艺流程通常包括:贴片→打线→透镜粘接耦合→隔离器组装→Receptacle 焊接→OB 测试→TCT/Burn in→外壳组装→软板焊接→模块组装→TCT/Burn in→检测→ 外观检测→包装出货。不同速率、封装形式的光模块在制造工艺上有所差异,而良率是检验工艺成熟度的重要指标。对于部分产品需要根据客户需求进行专门的工艺设计、利用专门的制造流程进行生产。这种工艺设计和流程管理需要企业在生产领域内的长期摸索以及经验积累, 并经过在管理领域内长时间的磨合才能达到预期的效果。

(5)及时响应客户需求和重点客户资源(电信运营商&云计算龙头)

无论是云计算市场还是通信网络市场,都呈现高集中度的竞争格局。2022 年全球公有云市场 CR5 占比达 78%,2020 年全球通信网络设备市场 CR5 占比达 76.5%。光模块厂商想要提高市场份额就需要掌握重点客户的资源,及时响应下游需求。

2、乘 AI 之风,高端高速率光模块扬帆起航

2.1、 AIGC 蓬勃发展是光模块需求增益的重要源泉

AIGC 的运行以海量的数据参数为基础。以 ChatGPT 为例,其作为 AIGC 的代表性分支,需要强大的模型和大数据支撑,才能在多个应用场景下生成高质量的内容。OpenAI 在 2018 年推出的 GPT 参数量为 1.17 亿,预训练数据量约 5GB。2020 年推出的 GPT-3 参数量大幅提升至 1750 亿,预训练数据量高达 45TB。GPT-4 的体系结构由 16 个不同的专家模型组成,每个模型都有 111B 个参数,总计约 1.76 万亿个参数。海量的数据参数对应大规模的算力需求,进而带动以上百亿计的算力基础设施投入。ChatGPT 的总算力消耗约为 3640PF-days(假如每秒计算一千万亿次,需要计算 3640 天)。按 2023 年在宜昌落地的国家先进计算产业创新中心为参照物(算力 500P 耗资 30.2 亿),若要支持 ChatGPT 的运行,需要 7-8 个这样数据中心支撑, 基础设施投入需以百亿计。

ChatGPT 对流量的消耗主要存在于训练阶段和用户访问(推理)阶段:

(1)ChatGPT 训练阶段的算力消耗

训练阶段需要处理大量的数据,这部分算力主要取决于三个因素:模型的规模 (参数数量)、训练数据集的大小、训练轮次和批次大小。计算的基准可以参考 GPT-3, 该模型的训练量大约需要 3.14*10^23 次浮点运算。由此得到 GPT-4 需要的浮点运算次数约为(17600/1750)*3.14*10^23 次浮点运算。英伟达 A100 GPU 具有每秒 19.5 万亿次的浮点运算能力,假设需要在 10 天时间完成,则测算得到大约需要 187440 个英伟达 A100 GPU。假设单个 A100 GPU 成本约为 10000 美元,则训练阶段的 GPU 总成本 18.74 亿美元。

(2)用户访问阶段(推理)的算力消耗

大模型的应用阶段也需要消耗大量的算力,通常大模型部署在云端,用户通过云服务来调用相应的计算资源。一位用户向 ChatGPT 提问所消耗的算力主要取决于四方面因素:模型规模(参数数量)+输入文本长度+输出文本长度+模型计算的复杂性。假设每个问题平均 50 字,ChatGPT 给出的回复为 500 字,则处理这样的问题需要消耗的算力约 次 FLOPs。AWS 的 g4dn 使用英伟达 T4 GPU,具有 8.1 TFLOPs 的计算能力。假设大模型在 1s 内完成问答请求,则意味着一块 T4 GPU 可以同时支撑的用户数量为 119851 位用户(假设每位用户请求处理的时间为 1 秒,输入/输出长度分别为 50/500 字)。

AI 大模型技术高速发展迭代,ChatGPT 仅为 Decoder 模型下的 NLP 应用之一。自谷歌 2017 年发布的 Transformer 网络结构以来,全球迅速成长出庞大的大模型技术群,衍生出涵盖各种技术架构、各种模态、各种场景的大模型家族。2020 年以来大模型数量快速提升,驱动 AI 算力需求大幅增长。在此背景下,云厂商资本开支增速有望进入上行周期,全球算力 GPU 出货量有望维持高增长,光模块需求无虞。

算力的提升要求 AI 数据中心的网络架构发生相应的改变。算力的增长对网络端的带宽提出更高的要求,由此 AI 数据中心的网络价格发生一定的变化。传统的大型数据中心,网络通常是三层结构,包括接入层、汇聚层和核心层。接入层用于连接计算节点与机柜交换机,提供数据中心与国际互联网、其他数据中心的连接。汇聚层交换机连接接入层交换机,同时提供其他的服务,例如防火墙,SSL offload,入侵检测,网络分析等。核心层是数据中心内部各个网络之间的统一数据交换中心,交换机为进出数据中心的包提供高速的转发,为多个汇聚层提供连接性。传统的树形网络拓扑中,带宽是逐层收敛的,树根处的网络带宽要远小于各个叶子处所有带宽的总和。

东西向流量占比提升推动网络架构向扁平化发展。随着东西向流量占比的提升,传统三层 Clos 架构的诸多缺陷逐渐体现出来。包括:成本高,根部交换机必须要有足够大的带宽来满足下层服务器之间的通信;性能有瓶颈,无法满足数据中心内部 大规模的 MapReduce 和数据拷贝。叶脊两层网络架构适应数据流量发展,带动光模块需求提振。Spine-Leaf 架构可以提供高带宽、低延迟、非阻塞的服务器到服务器连接。其架构中的设备基本都是双向流量,输入设备同时也是输出设备。除了两层叶脊架构,还有五级 Clos 架构,为 Facebook 等超大型数据中心所应用。Facebook 将 leaf 交换机称为 TOR,在 TOR 和 Spine 之间增加一层 Fabric 交换机。Facebook 将一组 Fabric、TOR 和对应的服务器组成一个 POD 集群,每个 POD 由 48 个 TOR 和 4 个 Fabric 组成。

不同组网架构对光模块的耗用量有所差异,光模块的实际用量取决于网卡型号、 交换机型号和单元数量。网卡,主要包括 ConnectX-6(200Gbs,配合 A100)和 ConnectX-7(400Gbs,配合 H100);交换机,主要包括 QM9700 系列(32 个 OSFP 连接器,64 个 400Gbs 端口,总数据吞吐量 51.2Tb/s)和 QM8700 系列(40 个 200Gbs 端口,总数据吞吐量 16Tb/s);单元数量,影响交换架构层级,数量少时仅用两层架构,数量多时采用三层架构。H100 SuperPOD 每个单元包括 32 个节点(DGX H100 服务器),最大支持 4 个单元组成集群,两层交换架构;A100 SuperPOD 每个单元包 括 20 个节点(DGX A100 服务器),最大支持 7 个单元组成集群,超过 5 个单元需要三层交换架构。

我们基于两层网络架构,使用 A100+ConnectX6+QM8700 进行组网的假设,测算 A100 GPU 与光模块之间的配比关系。DGX A100 上行配有 8 个计算接口,目前主要搭配 ConnectX6 对外通讯,接口速率 200Gbs。第一层架构中,每个服务器节点 (Node)有 8 个接口(port),每个节点分别连接 8 台叶交换机(Leaf),每 20 个节点组成一个单元(SU)。假设单元数量为 6 个,第一层需要 8*6=48 个叶交换机、 8*20*6=960 条线缆、2*8*20*6=1920 个 200G 光模块。第二层每 8 个叶交换机对应一台脊交换机,即需要 20 台脊交换机。每台脊交换机有 48 个下行接口,供需 20*48=960 根线缆与叶交换机相连,对应光模块数量为 2*960=1920 个,两层架构下供需光模块 3840 个。综上,在 A100+ConnectX6+QM8700 两层架构、6 个单元的假设下,我们预计 A100 与 200G 光模块用量的比重为 1:4。

如果基于两层网络架构,使用 H100+ConnectX7+QM9700 进行组网。H100 使用 8 张 400G 网卡,接口合并成 4 个 800G(2x400G)接口。服务器 4 个计算接口各接入一个 800G 光模块,再连接两根光缆分别插在两个交换机上。QM9700 交换机有 32 个 OSFP 连接器,因此每 32 台服务器组成一个单元,每台服务器连接 2*4=8 台交换机。假设单元数量为 4 个,则第一层共需要 8*4=32 个叶交换机,8*32*4=1024 根光缆,4*32*4=512 个 800G 光模块,32*8*4=1024 个 400G 光模块。第二层叶脊交换机之间使用 800G 光模块连接,在满足上行下行速率一致的条件下,叶交换机上行接口为 16 个,连接 16 台脊交换机。因此第二层共需要 16*32=512 根光缆,对应 2*512=1024 个 800G 光模块。综上,在 H100+ConnectX7+QM9700 两层架构、4 个单元的假设下,我们预计共需 1024 个 400G 光模块、1536 个 800G 光模块,1024 颗 H100,GPU 与 800G 光模块的比例是 1:1.5,与 400G 的比例是 1:1。

根据君实财经和硅基研习社数据显示,预计 2023 年英伟达 H100 出货量 50 万颗, A100 出货量 125-130 万颗;2024 年 H100 出货量 150-200 万颗,A100 出货量 130 万颗。根据上述测算所依据的假设条件,我们预计英伟达 H100/A100 GPU 的出货或将在 2023 年带来 520/50/75 万个 200G/400G/800G 光模块潜在需求;在 2024 年带来520/150/225 万个 200G/400G/800G 光模块潜在需求。假设2023年200G/400G/800G光模块单位售价分别为200/400/1000美元/只,2024 年单位售价下降至 100/300/800 美元/只。由此我们预计由英伟达 H100/A100 GPU 出货引致的 2023 年 200G/400G/800G 光模块新增潜在需求或达 10.4/2/7.5 亿美元,2024 年新增潜在需求或达 5.2/4.5/18 亿美元。

根据 Lightcounting 预测,光模块的全球市场规模在 2022-2027 年或将以 CAGR11%保持增长,2027 年有望突破 200 亿美元,其中前五大云公司的光模块采购预计从 2021 年的 32 亿美元增加到 2027 年的 72 亿美元, CAGR 达 14%。AIGC 的高速发展将进一步促进数据流量的持续增长和包括光模块在内的 ICT 行业的发展, 加速光模块向 800G 及以上产品迭代,但 AIGC 技术发展尚处于起步阶段,其下游应用领域的拓展进程以及对算力提升的具体影响力度存在一定不确定性,CPO 相关产品技术的成熟以及下游市场的规模化应用也尚需时日。

2.2、 800G 光模块东风已至,有望拉动云厂商资本支出

云计算厂商资本支出与光模块厂商营收呈正相关性。随着云计算的高速发展, 数通市场对光模块市场的影响逐渐提升,云计算厂商资本支出与光模块厂商营业收入的相关性也逐渐上升。根据 Top15 云计算公司的 Capex,与中国光模块厂商的营 业收入关系可以看出,云计算厂商的资本支出已成为光模块厂商的同步指标。

800G 光模块已成为行业发展的趋势,有望带动国内及海外云厂商资本支出加速 增长。目前我国已有部分头部厂商能够量产 800G 光模块,但从整个市场来看还处在起步阶段,产业链还尚未成熟。在工艺层面,800G 拥有不同的技术路径;在设备层面,交换机等设备的形态尚不够完善。根据 Omdia 预测,未来几年随着带宽需求的不断提升,虽然 100、200、400 G 光模块仍将保有最大的市场占有量,但是 800 G 光模块将在 2025 年实现规模部署。根据 800G 的网络架构,800G 光模块的应用场景主要分为 SR(100m)、 DF/FR/LR(500m/2km/10km)以及 ER/ZR(40km/80km)。架顶交换机(TOR)到 Leaf 交换机的连接距离较短,大型互联网公司普遍采用 100G 速率的连接技术,并从 2021 年开始逐步换代到 200G/400G,部分公司在 23 年使用 800G 技术。Leaf 到 Spine 交换机的连接,距离会达到 2km,甚至 10km。数据中心互联一般是相邻几个数据中心之间负载均衡或容灾备份的连接,这种连接距离可能长达几十公里,主要采用密 集波分复用加相干通信的方式以尽可能复用光纤资源。

800G 技术方案的演进包括三代。第 1 代为 8 光 8 电,光接口 8x100G,电接口 8x100G,商用时间为 2021 年;第 2 代为 4 光 8 电,光接口 4x200G,电接口 8x100G,商用时间预计为 2024 年;第 3 代为 4 光 4 电,光接口 4x200G,商用时间预计为 2026 年。单信道 200G 的光电芯片器件和均衡技术目前尚不成熟。电接口方面,当单通道速率与光接口单通道速率相同时,光模块的架构将达到最佳状态,并具有低功耗、低成本等优势。单通道 100G 电接口将是 8x100G 光模块的理想电接口,单通道 200G 电接口将会是 4x200G 光模块的理想电接口。在封装方面,800G 光模块可能存在双密度四通道小型可插拔(QSFP-DD800)、八通道小型可 插拔(OSFP)等不同形式。

800G 光模块光接口架构主要有 3 种,分别为 8x100G 4 电平脉冲幅度调制、 4x200G PAM4 和 800G 相干光模块。8x100G PAM4 光模块。PAM4 收发器以 53 Gbd 运行,使用 8 对数模转换器(DAC)和模数转换器(ADC)、8 个激光器、8 对光收发器以及 1 对 8 通道粗波分复用器(CWDM)。4x200G PAM4。PAM4 收发器以 106Gbd 运行,使用 4 对 DAC 和 ADC、4 对光收发器(包括 4 个激光器),以及 1 对 4 通道 CWDM。800G 相干光模块。使用 4 对 DAC 和 ADC、1 个激光器和 1 对光收发器, 可以在数据中心相干光模块中使用固定波长激光器,以降低成本和功耗

8x100G 直调直检方案可利用已有技术架构,相关技术和标准比较成熟,供应链也较为完善。在 SR 场景下,VCSEL 100G 技术面临挑战。提升多模方案性能和降低多模光纤成本,将成为该技术持续演进的关键因素。以硅光(SiPh)和直接调制激光器(DML)为代表的单模技术迅速发展。其中,SiPh 技术发展更为迅速,未来有望在 100 m 及以下传输距离的应用场景中与多模方案展开竞争。在 DR/FR 场景下, 存在电吸收调制激光器 EML、DML和 SiPh 3种方案。在 LR 场景下,有基于CWDM、 LWDM 和 nLWDM 的 800G LR8 方案。4x200G 直调直检方案下,单通道 200G 沿用 PAM4 调制码型,可利用相对成熟的PAM4产业基础条件。在4x200G DR和FR应用场景中,目前有4路单模并行(PSM4) 和 CWDM4 两种技术方案,目前仍面临较多挑战。对于 LR 应用场景,有基于 CWDM、 LWDM,以及 nLWDM 的 800G LR4 方案,但该方案需要高带宽光电芯片器件、更强的均衡技术和前向纠错(FEC),以确保纠后的误码率(BER),具有较高的技术挑战。

800G SR 场景下的技术方案具体包括基于 DML/EML 的方案和基于 SiPh 的方案。800G SR8 DML/EML 方案采用 8x100G DSP、同一波长 DML/EML 光芯片,收发两端各使用 8 根光纤(PSM8 并行单模 8 通道),并且采用 24 芯或 16 芯 MPO 接头。800G SR8 SiPh 方案采用 8xSiPh MZ 调制器/连续光纤激光器(硅光作为发射端, 同时调制器和光源分离),可以实现并行多路的共享光源架构。若插损控制得当,使用 1-2 个光源实现 8 路并行可使系统具有很好的成本优势。

800G DR/FR场景下,4x200G方案具有更低的成本优势。800G DR4(EML/SiPh) 方案采用 4x200G DSP。光芯片采用 4xEML/SiPh,为同一波长。因带宽发展受限, 方案不采用 DML。收发端各用 4 根光纤(PSM4 并行单模 4 通道),均为同一波长, 并采用 12 芯 MPO 接头。800 G 2km(FR)方案采用单通道 200G 的 PAM4 技术。当速率从 100G 升到 200G 时,波特率会翻倍,灵敏度会恶化约 3dB,因此,需要更强大的 FEC 来保持接收器较高的灵敏度(-5dBm)。

800G 的发展趋势包括单模下沉、单波 200G 来临以及相干下沉。单模下沉。受限于多模光纤的带宽,100 G PAM4 VCSEL+多模光纤的传输距离为 50 m。单模光接口方案下沉是发展趋势,有利于有助于800G SiPh方案的光模块覆盖到海量100 m SR 场景。单波 200G 来临。虽然 112 Gbd EML 技术发展较快,但是 55 GHz 的带宽资源略显不足。200G PAM4 速率等级的 SiPh 调制器和硅基薄膜铌酸锂的应用前景非常广阔。相干下沉。随着传输速率的提升,相干技术方案在 80 km 传输距离的基础上将进一步向 40、20、10 km 等更短距离拓展应用。相干方案只需要一个激光器、调制器和接收器,与 PAM4 相比具有成本竞争力。

无论是电信还是数通领域,高速率光模块占比提升都是大势所趋。在电信领域, 全球范围内的电信服务提供商和云服务提供商正在积极升级 IP 骨干网,以满足流量增长。根据 Omida 预测,2020 年,10G、100G 和 200G DWDM 模块出货量在总 DWDM 模块出货量中的占比高达 93.47%,但到 2026 年,该比例将下滑至 49.05%;与此同时,400G、600G 和 800G DWDM 模块出货量在总 DWDM 模块出货量中的占比将从 2020 年的 6.53%上升至 50.96%。在数据通信领域,即将到来的数据中心架构将刺激该市场投资的长期增长。Omidia 预测显示,2020 年 10G 及以下数据通信光模块出货量占据整体数据通信光模块出货量的 54.67%,到 2026 年,该比例将下滑至 24.18%; 同时,400G 光模块出货量占比将从 0.46%上升至 39.78%。800G 光模块销量预计将在 2025 年及之后快速攀升。

根据交换芯片的演进趋势、市场需求和技术成熟度,800G 光模块或将在 2024 年左右实现规模应用,2022 年底已开始小批量出货。当交换芯片速率达到 51.2Tbit/s 时,800G 光模块需求将产生;当交换芯片的速率达到 102.4Tbit/s 时,800G 和 1.6Tbit/s 光模块需求均将出现。目前 800G 光模块的需求主要来自于谷歌和英伟达,国内已有多家厂商具备 800G 光模块的生产能力。在 2023 年各大展会上,各家光模块公司均推出了自己的 800G 光模块产品,涵盖不同封装方式、材料和传输距离等种类。DR8 和 2*FR4 被更多云计算厂商作为主流方案推进,主要由于可与目前部署的 400G 模块平滑演进或对传,而 800G DR8 和 DR4 则作为硅光最有优势的方案成为硅光厂商重点布局的产品。以中际旭创和新易盛为代表的国内厂商有望延续 400G 时代的全球竞争力,同时华工科技、剑桥科技、博创科技、光迅科技等公司也有望取得突破。

2.3、 “新封装+新材料+新技术”周期的交织

2.3.1、 新封装:CPO 降耗降本,前景可期

CPO(CO-PACKAGED OPTICS)是指把光引擎和交换芯片共同封装在一起的共封装技术。CPO 的封装方式能够使得电信号在引擎和芯片之间更快的传输,缩短了光引擎和交换芯片之间的距离,有效减少尺寸,降低功耗,提高传输效率。传统的热插拔封装虽然方便实现快速维修,降低了维护成本,但是光引擎距离交换芯片较远,在高速率下会导致信号严重劣化。CPO 有望成为 AI 高算力下高效能比的主要方案。

CPO 发展目前尚处于初期阶段,市场需求可期。LightCounting 表示,AI 对网络速率的需求是目前的 10 倍以上,在这一背景下,CPO 有望将现有可插拔光模块架构的功耗降低 50%,将有效解决高速高密度互联传输场景。Lightcounting 预计,CPO 出货预计将从 800G 和 1.6T 端口开始,于 2024 至 2025 年开始商用,2026 至 2027 年开始规模上量,主要应用于超大型云服务商的数通短距场景。全球 CPO 端口的销售量将从 2023 年的 5 万增长到 2027 年的 450 万。2027 年,CPO 端口在 800G 和 1.6T 出货总数中占比接近 30%。Yole 报告数据显示,2022 年 CPO 市场产生的收入达到约 3800 万美元,预计 2033 年将达到 26 亿美元,2022-2033 年复合年增长率为 46%。

2.3.2、 新材料:薄膜铌酸锂在调制器中优势显著

目前行业内光调制的技术主要有三种:基于硅光、磷化铟和铌酸锂材料平台的电光调制器。其中,硅光调制器主要是应用在短程的数据通信用收发模块中,磷化铟调制器主要用在中距和长距光通信网络收发模块,铌酸锂电光调制器主要用在 100G 以上的长距骨干网相干通讯和单波 100/200G 的超高速数据中心中。在上述三种超高速调制器材料平台中,近几年出现的薄膜铌酸锂调制器具备了其它材料无法比拟的带宽优势。电信级铌酸锂高速调制器芯片产品设计难度大,工艺非常复杂, 全球仅有富士通、住友和光库科技三家公司可以批量供货。

铌酸锂材料具有光电效应多、性能可调控性强、物理化学性能稳定、光透过范围宽等特点。铌酸锂晶体光电效应多。具有包括压电效应、电光效应、非线性光学效应、光折变效应、光生伏打效应、光弹效应、声光效应等多种光电性能;铌酸锂晶体的性能可调控性强。这是由铌酸锂晶体的晶格结构和丰富的缺陷结构所造成, 铌酸锂晶体的诸多性能可以通过晶体组分、元素掺杂、价态控制等进行大幅度调控;光透过范围宽。具有较大的双折射,而且容易制备高质量的光波导,所以基于铌酸锂晶体的声表面波滤波器、光调制器、相位调制器、光隔离器、电光调 Q 开关等光电器件在电子技术、光通信技术、激光技术等领域中得到了广泛研究和实际应用。使用铌酸锂材料制备的调制器集成了该材料的性能优势,带宽取得大幅突破。

薄膜铌酸锂调制器主要应用于骨干网通信的相干通信端口,平均价格为 4 美元 /Gb。薄膜化是铌酸锂调制器重要技术改进方向,有望在保持原有光学性能下实现更小尺寸的封装,适应于未来核心网络端口密度不断加大的需求。同时,随着 5G 城域网逐渐从 100G 向 200G、400G 线路侧端口升级,带动全球通信设备商 100G、200G、400G 及 400G+端口出货量快速提升,预计高速率调制器的市场占比不断扩大。根据华经产业研究院的测算,预计全球光模块用铌酸锂调制器市场空间持续增长,目前 在 3.37 亿美元左右,到 2025 年或达 8.85 亿美元,预计到 2024 年高速率 100G 以上调制器出货量将超过 140 万只。

2.3.3、 新技术:硅光子技术

硅光子技术是基于硅和硅基衬底材料利用现有 CMOS 工艺进行光器件开发和集成的新技术,结合了 CMOS 超大规模逻辑、超高精度制造特性和光子技术超高速率、 超低功耗的双重优势。硅光子技术与传统的光器件相比具有材料成本低、集成度高以及功耗低的优势。传统光器件主要基于 III-V 族半导体、晶体等材料。以有源器件普遍采用的磷化铟(InP) 和砷化镓(GaAs)材料为例,属于稀有材料,成本高,且难以制作电子元件,光、电器件需要独立的制造平台。与传统光器件相比,硅光子技术具备以下优势:第一,硅基材料成本低,并可利用 CMOS 在集成电路领域的投资、设施和经验,大幅提高光器件制造工艺水平,进一步降低成本;第二,硅基材料阻抗低,器件驱动电压低,从而功耗较低;第三,硅基材料及技术可以提供光子和电子的统一制造平台,为芯片级光电集成提供途径,进一步减小系统设备的成本和尺寸。

虽然硅光子产品的研发投资和销售额仍小于 III-V 族材料,在产品性能、工艺、 成本等方面仍面临一定挑战,但基于其在成本和功耗方面的优势有望成为未来光器件的主流技术。根据 Yole 预测,数据中心和自动驾驶、生物化学传感等新应用将推动硅光子市场规模从 2015 年的 4000 万美元快速增长至 2025 年的数十亿美元。根据 Yole Group 的报告,2027 年用于数据通信的硅光模块市场份额将从目前的 20%提高 到 30%,市场规模从 2021 年的 1.51 亿美元增长到 2027 年的 9.72 亿美元,CAGR 达 36%。国外公司如 Intel、Cisco 等已经在硅光子技术领域耕耘多年,占据一定的先发优势。国内外其他同业公司也在积极投入硅光子技术研发。

3、 重点公司分析

中际旭创

公司是高端光通信收发模块及光器件龙头制造商。中际旭创集高端光通信收发模块的研发、设计、封装、测试和销售于一体,为云数据中心客户提供 100G、200G、 400G 和 800G 等高速光模块,为电信设备商客户提供 5G 前传、中传和回传光模块以及应用于骨干网和核心网传输光模块等高端整体解决方案。公司目前业务主要通过全资子公司苏州旭创和控股子公司成都储翰开展。其中苏州旭创致力于高端光通信收发模块的研发设计封测及销售,产品服务于云计算数据中心、数据通信、5G 无线网络、电信传输和固网接入等领域。成都储翰专注于接入网光模块和光组件的生产及销售,拥有从芯片封装到光电器件到光电模块的垂直整合产品线。市场地位方面,根据 LightCounting 发布的 2022 年全球光模块厂商排名榜单,公司与 Coherent 并列第一。

公司具有长远的技术前瞻性以及领先的研发能力。纵观其发展历程,公司 2016 年即开始开发 200G/400G 产品,而 2018 年 400G 才开始在北美小批量应用;公司 2019年即完成对 800G 的预研,2020 年已经开始给客户送样 800G。公司深入布局北美市场,与谷歌等头部客户具有长期的合作关系。旭创成立初期,即在北美市场设立全资子公司 Innolight Technology USA, INC,致力于将美国硅谷的先进技术与国内市场相结合,2016 年就已进入海外一流客户(亚马逊和谷歌等)供应链。公司通过收购成都储翰补齐 5G 接入网短板,同时发力数通和电信市场。公司产品矩阵完善,将同时受益于电信和数通市场的边际改善。除此之外,公司还有强大的量产交付能力和质保服务能力等。公司建有 10 万级洁净室的净化生产环境及自动化生产线。

高端光通信产品进展稳步推进。2022 年公司的泰国工厂完成了设备调试、试生产和客户验厂等工作,做好了量产前的各项准备工作,并将按计划从泰国厂量产出货 400G 和 800G 等产品。800G 和相干系列产品等已实现批量出货,1.6T 光模块和 800G 硅光模块已开发成功并进入送测阶段,CPO 技术和 3D 封装技术也在持续研发 进程中。

华工科技

公司自成立以来坚持以激光技术及其应用为主,投资发展传感器产业。目前已经形成了以激光加工技术为重要支撑的智能制造装备业务、以信息通信技术为重要支撑的光联接、无线联接业务,以敏感电子技术为重要支撑的传感器以及激光防伪包装业务三大业务格局。智能制造业务方面。公司拥有国内领先的激光装备研发、制造技术和工业激光领域全产业链优势,全面布局激光智能装备、自动化产线和智慧工厂建设,是中国最大的激光装备制造商之一。联接业务方面。公司拥有业界先进的端到端产品线和整体解决方案,具备从芯片到器件、模块、子系统全系列产品的垂直整合能力,产品包括有源光器件、智能终端、光学零部件等。感知业务方面。公司拥有全球领先的 PTC、NTC 系列传感器研发制造技术,并自主掌握传感器用敏感陶瓷芯片制造和封装工艺的核心技术,致力于物联网用新型传感器的产业化。

联接业务方面,在光通信领域公司积极推进硅光技术、积极布局薄膜铌酸锂技术并实现全系列光模块批量交付,在 5G 业务领域公司启动新型光模块产品布局。在“数据中心+云计算+大数据”一体化的新型算力网络体系下,公司成功卡位头部互联网厂商资源池,100G/200G/400G 全系列光模块批量交付;公司积极推进硅光技术应用,现已具备从硅光芯片到硅光模块的全自研设计能力,应用于超大规模云中心的 800G 硅光模块已于 2022 年第三季度正式推出市场;公司积极布局薄膜铌酸锂技术及下一代光电合封技术,以实现高能效、高密度的超大容量数据交换。5G 领域公司持续巩固前、中、回传市场优势地位,下一代接入网用 25G PON 光模块产品已与客户开展联调,50G PON 启动产品布局。2022 年公司在全球光器件供应商中的排名上升至第八位。

光迅科技

公司目前主要产品包括光电子器件、模块和子系统产品。其中传输、数据与接入类产品占营收主要部分。市场地位方面,公司在全球光器件行业中排名第 4。公司连续十七年入选“全球光器件最具竞争力企业 10 强(第四名)”“中国光器件与辅助设备及原材料最具竞争力企业 10 强(第一名)”。2022Q2-2023Q1 公司在全球光器件行业排名保持第四,在电信传输、数据通信、接入网三大细分市场的全球排名分别为第 4、5、3 名。

公司核心竞争力在于全面的技术储备、产品多元化、高质量交付能力、产业链的垂直整合能力。工艺技术全面。公司拥有光芯片、耦合封装、硬件、软件、测试、 结构和可靠性七大技术平台;公司具备先进的封装技术,其封装平台包括有源和无源两大器件封装平台;产品线多元化。除主要的光电子器件、模块和子系统产品外, 公司在 10G/100G/400G 长跨距、光线路保护、分光放大以及传感类方面也有解决方案;高质量交付能力。公司在 2022 年进行海外制造基地布局,形成三位一体、区域协调、安全可控的制造能力。产业链自上而下的垂直整合能力。公司产品覆盖全面,拥有从芯片、器件、模块到子系统的垂直集成能力。尤其是光芯片方面,公司拥有多种类型激光器(FP/DFB/EML/VCSEL)和探测器(PD/APD)以及 Sip 芯片平台,有助打造更加稳定的供应链,发挥各环节之间的协同效应。

长光华芯

公司聚焦半导体激光领域,始终专注于半导体激光芯片的研发、设计及制造。公司核心产品为半导体激光芯片,并且依托高功率半导体激光芯片的设计及量产能力,纵向往下游器件、模块及直接半导体激光器延伸,横向往 VCSEL 芯片及光通信芯片等半导体激光芯片扩展。主要产品包括高功率单管系列产品、高功率巴条系列产品、高效率 VCSEL 系列产品及光通信芯片系列产品等,逐步实现高功率半导体激光芯片的国产化。公司已形成由半导体激光芯片、器件、模块及直接半导体激光器构成的四大类、多系列产品矩阵,成为半导体激光行业的垂直产业链公司。长光华芯是全球少数研发和量产高功率半导体激光芯片的公司之一。针对半导体激光行业核心的芯片环节,公司已建成覆盖芯片设计、外延生长、晶圆处理工艺(光刻)、解理/镀膜、封装测试、光纤耦合等 IDM 全流程工艺平台和 3 寸量产线,应用于多款半导体激光芯片开发。随着全球唯二的 6 寸高功率半导体激光芯片生产线建成,公司在行业赛道中将处于优势的竞争地位。依托公司高功率半导体激光芯片的技术优势,公司业务横向扩展,建立了高效率 VCSEL 激光芯片和高速光通信芯片两大产品平台。

2022 年公司持续加大对高功率芯片和模块方向、VCSEL 产品方向、光通信产品方向的投入。产品方面,公司高功率半导体激光芯片从 2021 年的 30W,提升到 2022 年的 35W。工艺方面,公司建设完成了用于高功率半导体激光芯片的 6 寸砷化镓晶圆生产线,其中包括 MOCVD 外延生长和晶圆制造,产能提高了 5 倍以上。目前公司激光雷达芯片正在头部客户验证和导入。同时公司持续研发光通信芯片、光显示芯片,促进市场牵引和成果转化。

公司发展战略包括横向和纵向扩展。横向扩展方面,公司依托在高功率半导体激光芯片的研发、技术及产业化的“支点”优势,从高功率半导体激光芯片扩展至 VCSEL 芯片及光通信芯片,将产品应用领域拓展至消费电子、激光雷达等;纵向扩展指延伸至激光器件、模块及直接半导体激光器。

源杰科技

公司是国内领先的光芯片供应商,目前主要产品为光芯片,主要应用于电信市场、数据中心市场、车载激光雷达市场等领域。其中电信市场可以分为光纤接入、移动通信网络。在光通信领域中,主要产品包括 2.5G、10G、25G、50G 以及更高速率的 DFB、EML 激光器系列产品和大功率硅光光源产品,主要应用于光纤接入、 4G/5G 移动通信网络和数据中心等领域。在车载激光雷达领域,产品涵盖 1550 波段车载激光雷达激光器芯片等产品。公司已建立了包含芯片设计、晶圆制造、芯片加工和测试的 IDM 全流程业务体系,拥有多条覆盖 MOCVD 外延生长、光栅工艺、光波导制作、金属化工艺、端面镀膜、自动化芯片测试、芯片高频测试、可靠性测试验证等全流程自主可控的生产线。公司生产激光器芯片属于 IDM 模式,掌握芯片设计、晶圆外延等光芯片制造的核心技术,拥有覆盖芯片设计、晶圆制造、芯片加工和测试等自主生产的能力,公司的 IDM 模式能够缩短产品开发周期,实现光芯片制造的自主可控。

经过多年产业化发展,公司目前已形成了“掩埋型激光器芯片制造平台”和“脊波导型激光器芯片制造平台”两大平台,积累了“高速调制激光器芯片技术”等八大技术。公司两大平台积累了大量光芯片工艺制程技术和生产经验,系已有产品生产的保障、未来产品升级及品类拓展的基础。公司突破技术壁垒,积累八大技术,实现激光器芯片的性能优化及成本降低。优化产品性能方面,可实现激光器芯片的高速调制、高可靠性、高信噪比、高电光转换、高耦合效率、抗反射等;降低产品成本方面,可提高激光器芯片的良率,并可简化激光器芯片封装过程中对其他器件的需求,降低产品单位生产成本、下游封装环节的复杂度及对进口组件的依赖,有助于解决大规模光网络部署的供应链安全。

除了光芯片,我们推荐关注电光调制器,尤其是铌酸锂调制器件,主要逻辑有三点。电光调制器是影响光模块性能的核心部件。电光调制器是把电子信号加载至光载波上的关键功能器件。对光通信链路、特别是需求高速增长的 DCI 链路来说, 它的性能不仅影响了发射光信号的码率、质量和传输距离,并且也是光模块尺寸和功耗的重要因素。铌酸锂电光调制器在超高速互连场景下有着显著的竞争力。铌酸锂电光调制器主要用在 100G 以上直至 1.2Tbps 的长距骨干网相干通讯和单波 100/200G 的超高速数据中心上,与硅光、磷化铟调制器存在应用场景的差异。铌酸锂技术壁垒极高,标的具有稀缺性。铌酸锂调制器类产品设计难度大、工艺极其复杂。在设计、制造工艺、封装等各个环节,均存在较高的门槛,属于技术高、资金重,周期长的行业。目前全球主要参与者为日本富士通和住友,以及国内的光库科技。

光库科技

公司是光纤器件和芯片集成的技术前沿制造商。公司从事光电子器件的研发生产,产品与技术广泛应用于光纤激光、光纤通讯网络、数据中心、人工智能、超算、 传感、医疗、科研等领域。公司目前业务主要包括以下三类:光纤激光器件、光通讯器件、铌酸锂调制器件及光子集成器件。光纤激光器件类的产品包括隔离器、合束器、光纤光栅、激光输出头等,主要应用于光纤激光器、激光雷达、自动驾驶等领域;光通讯器件类的产品包括隔离器、波分复用器、保偏型光纤阵列等,主要应用于光网络调制、网络监控与管理、骨干网络的干线传输、数通等领域;铌酸锂调制器件及光子集成器件类的产品包括铌酸锂相干调制器、10Gbp 零啁啾强度调制器等,主要应用于超高速干线光通信网、超高速数据中心、人工智能、超算中心、海底光通信网、城域核心网等领域。市场地位方面,公司深耕于光纤激光器件与光通讯器件领域,是全球多家大型数通公司的的核心供应商;在光芯片领域,是全球仅三家、国内唯一一家掌握铌酸锂系列高速光调制器芯片及器件技术的公司。

公司优势在于研发能力、产品独立全面、高水平技术、优质客户资源。研发能力方面,公司累计获得发明专利 13 项,实用新型专利 121 项,公司的研发团队通过自主研发,掌握了多项具有重大突破的技术创新,各项指标和性能达到了业内领先水平;产品独立全面方面,公司具备独立的产品设计研发能力,建立了全面的产品系列,能够为客户提供一站式的配套光纤器件解决方案,是全球少数能提供海底光网络的高可靠性光无源器件的厂商之一;高水平技术方面,公司基于院士工作站, 博士后流动站等平台,经过多年研究,已掌握先进的无源光纤器件设计、模拟和生产技术,同时,通过收购铌酸锂系列高速调制器产品线相关资产和扩大研发团队等措施,公司具备了开发高速薄膜铌酸锂调制器芯片和器件的关键能力;优质客户资源方面,公司是行业内知名品牌,在国内外的主流光纤激光器厂家中得到了普遍的认可,公司客户包括知名激光器生产商 Trumpf Group、Coherent、杰普特等,知名 光通讯企业 Ciena、Finisar、中际旭创等。

加快布局铌酸锂类产业化,进一步拓展激光雷达领域。在铌酸锂调制器件及光子集成器件方面,电信级铌酸锂高速调制器芯片产品设计难度大,工艺非常复杂,全球仅有富士通、住友和光库科技三家公司可以批量供货体材料铌酸锂调制器,目前公司正在进行芯片、模块和光电封装技术的研发与产业化,现已进入小批量生产阶段,未来将建立薄膜铌酸锂芯片产业化基础,拓展公司产品在高速数据中心领域的应用。在激光雷达方面,公司正在对无人驾驶汽车 LIDAR 激光光源及其核心单元技术进行研究,未来将拓展公司的业务领域,在车载激光雷达市场构建光纤组件和光源模块的产品组合。

(报告出品方:开源证券)

点分享点点赞点在看

会务组联系方式  

电话微信:13248139830(展商名录咨询)

相关光电行业展会

2024年台湾光电及激光展览会OPTO Taiwan

2024-10-23~10-25 距离178
31829展会热度 评论(0)

2025年慕尼黑上海光博会LASER Photonics China

2025-03-11~03-13 距离317
97785展会热度 评论(0)

2024年深圳国际激光技术展览会LFSZ

2024-06-19~06-21 距离52
38141展会热度 评论(0)

2024年成都西部光电展-成都光博会CCWPE

2024-04-24~04-26 展会结束
25731展会热度 评论(0)

2024年台湾雷射展览会Laser Taiwan

2024-08-21~08-24 距离115
27710展会热度 评论(0)

2024年北京光电子产业博览会-北京光子大会INT PHOTONICS EXPO

2024-07-14~07-16 距离77
31564展会热度 评论(0)

2024年深圳华南先进激光及加工应用技术展Laser South China

2024-10-14~10-16 距离169
23108展会热度 评论(0)

2025年天津激光加工展-天津工博会TLSE

2025-03-06~03-09 距离312
33796展会热度 评论(0)
X
客服
电话
17502173664

服务热线

扫一扫

世展网公众号

客服微信

TOP
X